Skip to main content
Photo of David Bol
David Bol
Professeur

SST/EPL Louvain School of Engineering (EPL)

SST/ICTM Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM)

SST/ICTM/ELEN Pôle en ingénierie électrique (ELEN)

conferencePaper

Favresse, Sylvain ; Bol, David ; Flandre, Denis. A 1.88-NEF 3-kHz Current-Reuse Common-Gate Amplifier Featuring Resistorless High-Pass Filtering. IEEE International Conference on Electronics, Circuits and Systems (ICECS) (Nancy, France, du 18/11/2024 au 20/11/2024). In: Proceedings of the 2024 31st IEEE International Conference on Electronics, Circuits and Systems (ICECS). doi:10.1109/ICECS61496.2024.10848910.

journalArticle

Lefebvre, Martin ; Bol, David. A 2.5-nA Area-Efficient Temperature-Independent 176-/82-ppm/°C CMOS-Only Current Reference in 0.11-µm Bulk and 22-nm FD-SOI. In: IEEE Journal of Solid-State Circuits, Vol. 59, no. 11, p. 3752-3766 (November 2024). doi:10.1109/jssc.2024.3402960.

Favresse, Sylvain ; Bol, David ; Flandre, Denis. A Combined Analytical and Simulation-Based Methodology for Quantifying the Noise-Power-Area Trade-Offs in Biomedical Amplifiers. In: IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 71, no. 12, p. 6177-6189 (Dec. 2024). doi:10.1109/TCSI.2024.3414649.

Lefebvre, Martin ; Bol, David. A nA-Range Area-Efficient Sub-100-ppm/°C Peaking Current Reference Using Forward Body Biasing in 0.11-µm Bulk and 22-nm FD-SOI. In: IEEE Journal of Solid-State Circuits, Vol. 60, no. 2, p. 579-592 (2025). doi:10.1109/jssc.2024.3406423 (Accepté/Sous presse).

Golard, Louis ; Dethienne, Robin ; Louveaux, Jérôme ; Bol, David. A parametric life-cycle model for assessing environmental impacts of 4G and 5G cellular base stations. In: The International Journal of Life Cycle Assessment, (2024) (Soumis).

Gauthier Roussilhe ; Pirson, Thibault ; Mathieu Xhonneux ; Bol, David. From silicon shield to carbon lock-in? The environmental footprint of electronic components manufacturing in Taiwan (2015–2020). In: Journal of Industrial Ecology, Vol. 28, no.5, p. 1212-1226 (2024). doi:10.1111/jiec.13487.

Maistriaux, Pol ; Gonzalez, Marco ; Louveaux, Jérôme ; Bol, David. Leveraging a Digital Chirp Spread Spectrum Detector for LPWAN Wake-Up Receivers. In: International Symposium on Communication Systems, Networks and Digital Signal Processing, CNSDSP, Vol. 1, no. 1, p. 656 (2024). doi:10.1109/csndsp60683.2024.10636391.

Lefebvre, Martin ; Bol, David. MANTIS: A Mixed-Signal Near-Sensor Convolutional Imager SoC Using Charge-Domain 4b-Weighted 5-to-84-TOPS/W MAC Operations for Feature Extraction and Region-of-Interest Detection. In: IEEE Journal of Solid State Circuits, Vol. 60, no. 3, p. 934-948 (2024). doi:10.1109/JSSC.2024.3484766 (Accepté/Sous presse).

bookChapter

Pirson, Thibault ; Le Brun, Grégoire ; Ernesto Quisbert-Trujillo ; Thomas Ernst ; Raskin, Jean-Pierre ; Bol, David. Towards Life Cycle Thinking and Judicious Ecodesign for the Internet of Things (IoT) Current Practices and Perspectives. In: Jenny Stanford Publishing, Outlooking beyond Nanoelectronics and Nanosystems, Simon Deleonibus: New York, 2024, p. 75-136. 9781003509905. doi:10.1201/9781003509905.

conferencePaper

Lefebvre, Martin ; Bol, David. A Mixed-Signal Near-Sensor Convolutional Imager SoC with Charge-Based 4b-Weighted 5-to-84-TOPS/W MAC Operations for Feature Extraction and Region-of-Interest Detection. 2024 IEEE Custom Integrated Circuits Conference (CICC) (Denver (CO, USA), du 21/04/2024 au 24/04/2024). In: Proceedings of the 2024 IEEE Custom Integrated Circuits Conference (CICC), p. 1-2. doi:10.1109/CICC60959.2024.10528961.

Gonzalez Gonzalez, Marco Antonio ; Maistriaux, Pol ; Bol, David. A Narrowband RF Front End in 22-nm FD-SOI Featuring a Programmable Low-Noise Amplifier with a Configurable Noise-Power Trade-Off. 2024 IEEE International Symposium on Circuits and Systems (ISCAS) (Singapore, Singapore, du 19/5/2024 au 22/5/2024). In: IEEE International Symposium on Circuits and Systems. Proceedings, Vol. -, no.-, p. - (2024). doi:10.1109/iscas58744.2024.10557855.

Golard, Louis ; Agram, Youssef ; Rottenberg, François ; Quitin, François ; Bol, David ; Louveaux, Jérôme. A Parametric Power Model of Multi-Band Sub-6 GHz Cellular Base Stations Using On-Site Measurements. 2024 IEEE 35th Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC) (Valencia, Spain, du 02/09/2024 au 05/09/2024). In: IEEE International Symposium Personal, Indoor and Mobile Radio Communications, (2024). doi:10.1109/PIMRC59610.2024.10817448.

Wattiez, Augustin ; Kelly Le Goff ; Bol, David. Exploring the Influence of Database Selection on the Life Cycle Assessment of Digital Services. ICT4S (Stockholm, du 24/06/2024 au 28/06/2024).

Wattiez, Augustin ; Dethienne, Robin ; Collin, Anne-Sophie ; Bol, David. Parametric Modeling for Improved Die Area Estimation in the Life Cycle Assessment of Electronic Systems. Electronics Goes Green 2024+ (Berlin, du 18/06/2024 au 20/06/2024).

journalArticle

Lefebvre, Martin ; Flandre, Denis ; Bol, David. A 1.1- / 0.9-nA Temperature-Independent 213- / 565-ppm/°C Self-Biased CMOS-Only Current Reference in 65-nm Bulk and 22-nm FDSOI. In: IEEE Journal of Solid-State Circuits, Vol. 58, no. 8, p. 2239-2251 (August 2023). doi:10.1109/jssc.2023.3240209.

Kneip, Adrian ; Bol, David. A 7T-NDR Dual-Supply 28nm FD-SOI Ultra-Low Power SRAM with 0.23nW/kB Sleep Retention and 0.8pJ/32b Access at 64MHz with Forward Back Bias. In: IEEE Transactions on Circuits and Systems Part 1: Regular Papers, Vol. 70, no.3, p. 1311 - 1323 (2023). doi:10.1109/TCSI.2022.3230984.

Xhonneux, Mathieu ; Louveaux, Jérôme ; Bol, David. A Sub-mW Cortex-M4 Microcontroller Design for IoT Software-Defined Radios. In: IEEE Open Journal of Circuits and Systems, Vol. 4, no.4, p. 165-175 (2023). doi:10.1109/ojcas.2023.3270752.

Xu, Pengcheng ; Flandre, Denis ; Bol, David. Analysis and Design of RF Energy-Harvesting Systems with Impedance-Aware Rectifier Sizing. In: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, Vol. 70, no. 2, p. 367-365 (2023). doi:10.1109/TCSII.2022.3171470 (Accepté/Sous presse).

Frenkel, Charlotte ; Bol, David ; Indiveri, Giacomo. Bottom-Up and Top-Down Approaches for the Design of Neuromorphic Processing Systems: Tradeoffs and Synergies Between Natural and Artificial Intelligence. In: Proceedings of the IEEE, Vol. 111, no.6, p. 623-652 (2023). doi:10.1109/jproc.2023.3273520.

Golard, Louis ; Louveaux, Jérôme ; Bol, David. Evaluation and projection of 4G and 5G RAN energy footprints: the case of Belgium for 2020–2025. In: Annales des Télécommunications, Vol. online (2023). doi:10.1007/s12243-022-00932-9.

Kneip, Adrian ; Lefebvre, Martin ; Verecken, Julien ; Bol, David. IMPACT: A 1-to-4b 813-TOPS/W 22-nm FD-SOI Compute-in-Memory CNN Accelerator Featuring a 4.2-POPS/W 146-TOPS/mm² CIM-SRAM With Multi-Bit Analog Batch-Normalization. In: IEEE Journal of Solid State Circuits, Vol. 58, no.7, p. 1871-1884 (2023). doi:10.1109/JSSC.2023.3269098.

Gonzalez Gonzalez, Marco Antonio ; Xu, Pengcheng ; Dekimpe, Rémi ; Schramme, Maxime ; Stupia, Ivan ; Pirson, Thibault ; Bol, David. Technical and Ecological Limits of 2.45-GHz Wireless Power Transfer for Battery-Less Sensors. In: IEEE Internet of Things Journal, Vol. 10, no. 17, p. 15431-15442 (2023). doi:10.1109/JIOT.2023.3263976 (Accepté/Sous presse).

Pirson, Thibault ; Delhaye, Thibault P. ; Pip, Alex ; Le Brun, Grégoire ; Raskin, Jean-Pierre ; Bol, David. The Environmental Footprint of IC Production: Review, Analysis and Lessons from Historical Trends. In: IEEE Transactions on Semiconductor Manufacturing, Vol. 36, p. 56-67 (2023). doi:10.1109/tsm.2022.3228311 (Accepté/Sous presse).

Schramme, Maxime ; Bol, David. UFBBR: A Unified Frequency and Back-Bias Regulation Unit for Ultralow-Power Microcontrollers in 28-nm FDSOI. In: IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 70, no. 6, p. 2464-2477 (2023). doi:10.1109/tcsi.2023.3257270.

conferencePaper

Golard, Louis ; Bol, David ; Louveaux, Jérôme. A Configurable RAN Model to Evaluate and Reduce its Power Consumption and Carbon Footprint. Symposium on Information Theory and Signal Processing in the Benelux (Bruxelles, du 11/05/2023 au 12/05/2023).

Pirson, Thibault ; Golard, Louis ; Bol, David. Evaluating the (ir)relevance of IoT solutions with respect to environmental limits based on LCA and backcasting studies. Ninth Computing within Limits 2023 (Virtual). In: Proc. Ninth Computing within Limits 2023, Vol. 9, no.1, p. 14 p (2023). doi:10.21428/bf6fb269.6af396ff.

Gonzalez Gonzalez, Marco Antonio ; Bol, David. Post-Silicon Optimization of a Highly Programmable 64-MHz PLL Achieving 2.7-5.7 μW. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE) (Antwerp, Belgium, du 17/4/2023 au 19/4/2023). In: Proceedings of the 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), Vol. -, no.-, p. - (2023). doi:10.23919/date56975.2023.10137143.

Van Brandt, Léopold ; Silveira, Fernando ; Delvenne, Jean-Charles ; Bol, David ; Flandre, Denis. Variability and Intrinsic Noise Effects in ULV CMOS SRAM Demystified. IEEE Latin American Electron Devices Conference 2023 (Puebla, Mexico, du 03/07/2023 au 05/07/2023).

report

Pirson, Thibault ; Bol, David. Modélisation du cout énergétique et écologique d’objets connectés et de processus de digitalisation, 2023. 26 p.

patent

Xu, Pengcheng ; Bol, David ; Flandre, Denis. Energy harvesting system. .

journalArticle

Lefebvre, Martin ; Bol, David. A Family of Current References Based on 2T Voltage References: Demonstration in 0.18-μm With 0.1-nA PTAT and 1.1-μA CWT 38-ppm/°C Designs. In: IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 69, no. 8, p. 3237-3250 (August 2022). doi:10.1109/TCSI.2022.3172647.

Van Brandt, Léopold ; Saeidi, Roghayeh ; Bol, David ; Flandre, Denis. Accurate and Insightful Closed-Form Prediction of Subthreshold SRAM Hold Failure Rate. In: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, Vol. 69, no.7, p. 2767-2780 (2022). doi:10.1109/TCSI.2022.3164680.

Schramme, Maxime ; Van Brandt, Léopold ; Flandre, Denis ; Bol, David. Comprehensive Analytical Comparison of Ring Oscillators in FDSOI Technology: Current Starving Versus Back-Bias Control. In: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, Vol. 69, no. 5, p. 1883-1895 (2022). doi:10.1109/TCSI.2022.3144527.

Dekimpe, Rémi ; Bol, David. ECG Arrhythmia Classification on an Ultra-Low-Power Microcontroller. In: IEEE Transactions on Biomedical Circuits and Systems, Vol. 16, no.3, p. 456-466 (2022).

Degavre, Florence ; Kieffer, Suzanne ; Bol, David ; Dekimpe, Rémi ; Desterbecq, Charlotte ; Pirson, Thibault ; Sandu, Georgiana ; Tubeuf, Sandy. Searching for sustainability in health systems: Toward a multidisciplinary evaluation of mobile health innovations. In: Sustainability (Online), Vol. 14, no. 9, p. 5286 (2022).

conferencePaper

Lefebvre, Martin ; Flandre, Denis ; Bol, David. A 0.9-nA Temperature-Independent 565-ppm/°C Self-Biased Current Reference in 22-nm FDSOI. ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC) (Milan (Italy), du 19/09/2022 au 22/09/2022). In: Proceedings of the ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC), p. 469-472. doi:10.1109/ESSCIRC55480.2022.9911369.

Kneip, Adrian ; Lefebvre, Martin ; Verecken, Julien ; Bol, David. A 1-to-4b 16.8-POPS/W 473-TOPS/mm2 6T-based In-Memory Computing SRAM in 22nm FD-SOI with Multi-Bit Analog Batch-Normalization. ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC) (Milan, Italy, du 19/09/2022 au 22/09/2022). In: ESSCIRC 2022, 2022. 978-1-6654-8494-7/2. doi:10.1109/ESSCIRC55480.2022.9911348.

Dekimpe, Rémi ; Bol, David. Mixed-Signal Compensation of Tripolar Cuff Electrode Imbalance in a Low-Noise ENG Analog Front-End. ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC) (Milan, Italy, du 19/09/2022 au 22/09/2022). In: ESSCIRC 2022, 2022. 978-1-6654-8494-7/2. doi:10.1109/ESSCIRC55480.2022.9911326.

Maistriaux, Pol ; Pirson, Thibault ; Schramme, Maxime ; Louveaux, Jérôme ; Bol, David. Modeling the Carbon Footprint of Battery-Powered IoT Sensor Nodes for Environmental-Monitoring Applications. IoT 2022: The 12th International Conference on the Internet of Things (Delft Netherlands, du 07/11/2022 au 10/11/2022). In: IoT 2022: Proceedings of the 12th International Conference on the Internet of Things, 2022. 978-1-4503-9665-3. doi:10.1145/3567445.3567448.

Pirson, Thibault ; Delhaye, Thibault ; Pip, Alex ; Le Brun, Grégoire ; Raskin, Jean-Pierre ; Bol, David. The Environmental Footprint of IC Production: Meta-Analysis and Historical Trends. ESSDERC 2022 - IEEE 52nd European Solid-State Device Research Conference (ESSDERC) (Milan, Italy, du 19/9/2022 au 22/9/2022). In: ESSDERC 2022 - IEEE 52nd European Solid-State Device Research Conference (ESSDERC), 2022. 978-1-6654-8497-8, pp. 352-355. doi:10.1109/essderc55479.2022.9947198.

workingPaper

Dekimpe, Rémi ; Pirson, Thibault ; Bol, David. Systemic Approach to the Environmental Sustainability of Mobile Health, 2022.

journalArticle

Dekimpe, Rémi ; Bol, David. A Configurable ULP Instrumentation Amplifier with Pareto-Optimal Power-Noise Trade-Off Achieving 1.93 NEF in 65nm CMOS. In: IEEE Transactions on Circuits and Systems. Part 2: Express Briefs, Vol. 68, no.7, p. 2272-76 (2021). doi:10.1109/TCSII.2021.3059311.

Xhonneux, Mathieu ; Afisiadis, Orion ; Bol, David ; Louveaux, Jérôme. A Low-Complexity LoRa Synchronization Algorithm Robust to Sampling Time Offsets. In: IEEE Internet of Things Journal, , p. 14 (2021). doi:10.1109/JIOT.2021.3101002 (Accepté/Sous presse).

Xu, Pengcheng ; Flandre, Denis ; Bol, David. A Self-Gating RF Energy Harvester for Wireless Power Transfer With High-PAPR Incident Waveform. In: IEEE Journal of Solid-State Circuits, Vol. 56, no.6, p. 1816-1826 (2021). doi:10.1109/jssc.2021.3061196.

Pirson, Thibault ; Bol, David. Assessing the embodied carbon footprint of IoT edge devices with a bottom-up life-cycle approach. In: Journal of Cleaner Production, Vol. 322, no. 128966, p. 13 (2021). doi:10.1016/j.jclepro.2021.128966.

Moreau, Nicolas ; Pirson, Thibault ; Le Brun, Grégoire ; Delhaye, Thibault ; Sandu, Georgiana ; Paris, Antoine ; Bol, David ; Raskin, Jean-Pierre. Could Unsustainable Electronics Support Sustainability. In: Sustainability, Vol. 13, no. 6541, p. 7 (2021). doi:10.3390/su13126541.

Tapperel, Joachim ; Xhonneux, Mathieu ; Bol, David ; Louveaux, Jérôme ; Burg, Andras. Enhancing the Reliability of Dense LoRaWAN Networks With Multi-User Receivers. In: IEEE Open Journal of the Communications Society, Vol. 2, p. 2725-2738 (2021). doi:10.1109/OJCOMS.2021.3134091.

Kneip, Adrian ; Bol, David. Impact of Analog Non-Idealities on the Design Space of 6T-SRAM Current-Domain Dot-Product Operators for In-Memory Computing. In: IEEE Transactions on Circuits and Systems Part 1: Regular Papers, Vol. 68, no.5, p. 1931-1944 (2021). doi:10.1109/TCSI.2021.3058510.

Frenkel, Charlotte ; Lefebvre, Martin (co-first author) ; Bol, David. Learning Without Feedback: Fixed Random Learning Signals Allow for Feedforward Training of Deep Neural Networks. In: Frontiers in Neuroscience, Vol. 15, p. 629892 (2021). doi:10.3389/fnins.2021.629892.

Bol, David ; Schramme, Maxime ; Moreau, Ludovic ; Xu, Pengcheng ; Dekimpe, Rémi ; Saeidi, Roghayeh ; Haine, Thomas ; Frenkel, Charlotte ; Flandre, Denis. SleepRunner: A 28-nm FDSOI ULP Cortex-M0 MCU With ULL SRAM and UFBR PVT Compensation for 2.6–3.6-μW/DMIPS 40–80-MHz Active Mode and 131-nW/kB Fully Retentive Deep-Sleep Mode. In: IEEE Journal of Solid State Circuits, Vol. 56, no.7, p. 2256-2269 (2021). doi:10.1109/JSSC.2021.3056219.

conferencePaper

Lefebvre, Martin ; Moreau, Ludovic ; Dekimpe, Rémi ; Bol, David. A 0.2-to-3.6TOPS/W Programmable Convolutional Imager SoC with In-Sensor Current-Domain Ternary-Weighted MAC Operations for Feature Extraction and Region-of-Interest Detection. 2021 IEEE International Solid-State Circuits Conference (San Francisco (USA), du 13/02/2021 au 22/02/2021). In: Proceedings of the 2021 IEEE International Solid-State Circuits Conference, p. 118-119.

Xhonneux, Mathieu ; Tapparel, Joachim ; Scheepers, Péter ; Afisiadis, Orion ; Balatsoukas-Stimming, Alexios ; Bol, David ; Louveaux, Jérôme ; Burg, Andreas. A Two-User Successive Interference Cancellation LoRa Receiver with Soft-Decoding. 2021 Asilomar Conference on Signals, Systems, and Computers (Pacific Grove, CA, USA, 01/11/2021) (Soumis).

Degavre, Florence ; Bol, David ; Kieffer, Suzanne ; Sandu, Georgiana ; Desterbecq, Charlotte ; Pirson, Thibault ; Dekimpe, Rémi ; Tubeuf, Sandy. Accelerating sustainability transitions in care and health systems: How sustainable are e/m-Care and Health innovations? . Transforming Care Conference (Cà Foscari University of Venice, Italy , du 24/06/2021 au 26/06/2021).

Pirson, Thibault ; Bol, David ; Le Brun, Grégoire ; Raskin, Jean-Pierre. Can we cope with the upcoming massive deployment of IoT within environmental limits?. Educational workshop of IEEE ESSDERC (Grenoble (France), du 06/09/2021 au 09/09/2021).

Xhonneux, Mathieu ; Louveaux, Jérôme ; Bol, David. Implementing a LoRa Software-Defined Radio on a General-Purpose ULP Microcontroller. International Workshop on Signal Processing Systems 2021 (Coimbra (Portugal), du 19/10/2021 au 21/10/2021). In: Proceedings of the 2021 International Workshop on Signal Processing Systems, 2021.

Bol, David ; Pirson, Thibault ; Dekimpe, Rémi. Moore's Law and ICT Innovation in the Anthropocene. IEEE Design, Automation and Test in Europe Conference 2021 (Virtual conference, du 01/02/2021 au 05/02/2021). In: Proceedings of the IEEE Design, Automation and Test in Europe Conference 2021, 2021.

Klinefelter, Alicia ; Liu, Huichu ; Benini, Luca ; Thonnart, Yvain ; Bowman, Keith ; Wilcox, Kathy ; Bol, David ; Loke, Alvin ; Shacham, Ofer. SE2: Going Remote: Challenges and Opportunities to Remote Learning, Work, and Collaboration. 2021 IEEE International Solid- State Circuits Conference (ISSCC) (San Francisco, CA, USA, du 13/2/2021 au 22/2/2021). doi:10.1109/isscc42613.2021.9365845.

Dekimpe, Rémi ; Schramme, Maxime ; Lefebvre, Martin ; Kneip, Adrian ; Saeidi, Roghayeh ; Xhonneux, Mathieu ; Moreau, Ludovic ; Gonzalez Gonzalez, Marco Antonio ; Pirson, Thibault ; Bol, David. SleepRider: a 5.5µW/MHz Cortex-M4 MCU in 28nm FD-SOI with ULP SRAM, Biomedical AFE and Fully-Integrated Power, Clock and Back-Bias Management. 2021 Symposium on VLSI Circuits (Kyoto (Japan), du 13/06/2021 au 19/06/2021). In: Proceedings of the 2021 Symposium on VLSI Circuits, p. 2 (28/07/2021). doi:10.23919/VLSICircuits52068.2021.9492365.

Degavre, Florence ; Kieffer, Suzanne ; Bol, David ; Sandu, Georgiana ; Desterbecq, Charlotte ; Pirson, Thibault ; Dekimpe, Rémi ; Tubeuf, Sandy. Toward an interdisciplinary evaluation of mobile health innovations: Searching for sustainability in health systems. CONFERENCE RECHERCHE INTERDISCIPLINAIRE ET TRANSDISCIPLINAIRE « TRANSITION ET DÉVELOPPEMENT DURABLE » (UCLouvain, 26/11/2021).

workingPaper

Charlotte Frenkel ; Bol, David ; Giacomo Indiveri. Bottom-Up and Top-Down Neural Processing Systems Design: Neuromorphic Intelligence as the Convergence of Natural and Artificial Intelligence, 2021. 25 p.

journalArticle

Gimeno Gasca, Cecilia ; Flandre, Denis ; Schramme, Maxime ; Frenkel, Charlotte ; Bol, David. A 2.24-pJ/bit 2.5-Gb/s UWB receiver in 28-nm FDSOI CMOS for low-energy chip-to-chip communications. In: A E Ue: International Journal of Electronics and Communication, Vol. 114, no. 152996, p. 8 (2020). doi:10.1016/j.aeue.2019.152996.

Schramme, Maxime ; Gimeno Gasca, Cecilia ; Cathelin, Andreia ; Flandre, Denis ; Bol, David. A 2.5-GHz Clock Recovery Circuit Based on a Back-Bias-Controlled Oscillator in 28-nm FDSOI. In: IEEE Solid-State Circuits Letters, Vol. 3, p. 478-481 (2020). doi:10.1109/LSSC.2020.3026759.

Levi, Itamar ; Bellizia, Davide ; Bol, David ; Standaert, François-Xavier. Ask Less, Get More: Side-Channel Signal Hiding, Revisited. In: IEEE Transactions on Circuits and Systems Part 1: Regular Papers, Vol. 67, no.12, p. 4904 - 4917 (2020). doi:10.1109/TCSI.2020.3005338.

bookChapter

Bol, David ; de Streel, Guerric. An 802.15.4 IR-UWB Transmitter SoC with Adaptive-FBB-Based Channel Selection and Programmable Pulse Shape. In: Sylvain Clerc, Thierry Di Gilio, Andreia Cathelin, The Fourth Terminal, Integrated Circuits and Systems (Integrated Circuits and Systems book series (ICIR)), Springer Nature Switzerland AG 2020: Switzerland, 2020, p. 223-241. 978-3-030-39495-0. doi:10.1007/978-3-030-39496-7_9.

conferencePaper

Frenkel, Charlotte ; Legat, Jean-Didier ; Bol, David. A 28-nm Convolutional Neuromorphic Processor Enabling Online Learning with Spike-Based Retinas. 2020 IEEE International Symposium on Circuits and Systems (ISCAS) (Virtual symposium, du 10/10/2020 au 21/10/2020). In: Proceedings of ISCAS 2020, p. 5. doi:10.1109/ISCAS45731.2020.9180440 (Accepté/Sous presse).

Flandre, Denis ; Schramme, Maxime ; Gimeno Gasca, Cecilia ; Drouguet, Maxime ; André, Nicolas ; Craeye, Christophe ; Bol, David. Cinq générations de chips UWB (Ultra-Wide-Band) pour la géo-localisation et la transmission de données à très basse consommation. FETCH 2020 - École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (Montréal (Canada), du 12/02/2020 au 14/02/2020) (Accepté/Sous presse).

Learning units for 2024

Label Code
Project in Electrical Engineering: Integration of wireless embedded sensing systems LELEC2102
Project in Electrical Engineering: Optimization of wireless embedded sensing systems LELEC2103
Analog electronic systems LELEC2532
Synthesis of digital integrated circuits LELEC2570
Modeling and implementation of analog and mixed analog/digital circuits and systems on chip LELEC2620
Instrumentation and sensors LELEC2811
Project 2 LEPL1502
Sustainable development and transition LEPL1804